What is generic map in VHDL?

0 views

Related questions

Tags

  1. #instantiation
  2. #during
  3. #clause
  4. #entity
  5. #parametrize
  6. #using
  7. #generics
  8. #values
  9. #declaration
  10. #generic
  11. #component
  12. #allows
  13. #statement
  14. #customized
  15. #designer
  16. #passed